Casa Lungimiranza Le promesse e le sfide di euv a livello mondiale

Le promesse e le sfide di euv a livello mondiale

Sommario:

Video: GLOBALFOUNDRIES Sand to Silicon (Settembre 2024)

Video: GLOBALFOUNDRIES Sand to Silicon (Settembre 2024)
Anonim

Uno dei motivi per cui ero così incuriosito da visitare GlobalFoundries all'inizio di questo mese è stata l'opportunità di vedere una macchina litografica EUV in atto e di sapere come l'azienda intende utilizzarla.

Non molto tempo fa, ho avuto la possibilità di visitare una fabbrica nel Connecticut in cui ASML costruisce molti dei componenti per una tale macchina EUV. Questi enormi strumenti usano la luce ultravioletta estrema (EUV) che brillava attraverso una maschera per delineare le linee per caratteristiche molto piccole di chip e sono alcune delle macchine più complesse al mondo. Sono progettati per sostituire le macchine litografiche ad immersione ormai standard che utilizzano la luce con una lunghezza d'onda di 193 nm in alcuni strati del processo di produzione di chip.

Ricapitolando, una macchina EUV è incredibilmente complicata. Come ha spiegato George Gomba, vicepresidente della ricerca tecnologica per GlobalFoundries, il processo inizia con un laser a CO2 da 27 kilowatt che viene sparato attraverso un sistema di trasporto e messa a fuoco del fascio su minuscole goccioline di stagno (circa 20 micron di diametro) prodotte da un generatore di goccioline in una nave al plasma. Il primo impulso appiattisce la goccia e il secondo la vaporizza, creando plasma prodotto dal laser (LPP). I fotoni EUV emessi dal plasma sono raccolti da uno specchio speciale che riflette la luce della lunghezza d'onda di 13, 5 nm e che la radiazione viene trasmessa a un punto di messa a fuoco intermedio in cui entra nello scanner e viene proiettata attraverso una maschera sul wafer di silicio. Gomba, che lavora presso lo stabilimento di Albany Nanotech, ha dichiarato di lavorare con i sistemi EUV di pre-produzione dal 2013 e si aspetta che EUV sarà in piena produzione presso GlobalFoundries entro la seconda metà del 2019.

Questi strumenti sono così complessi che richiedono mesi di lavoro solo per prepararli ad avviare la produzione. Al Fab 8 dell'azienda a Malta, a New York, ho visto i primi due strumenti EUV installati; uno è quasi completo e l'altro è in fase di produzione e c'è ancora spazio per altri due.

Ottenere gli strumenti EUV nell'edificio stesso è stata un'operazione complessa. Il fab principale fu prima sigillato; quindi, è stata installata una gru nel soffitto e un foro praticato nel lato dell'edificio per spostare l'enorme nuovo sistema all'interno. Quindi, ovviamente, doveva essere collegato agli altri strumenti in fabbrica. Ciò ha comportato il lavoro sia nel sub-fab, che doveva essere impostato per lo strumento sorgente che crea il laser utilizzato nel processo, sia nella camera bianca stessa. Tutto doveva essere fatto mantenendo il resto del fab in esecuzione a tutta velocità.

Tom Caulfield, SVP e direttore generale di Fab 8, ha paragonato questo a "fare un intervento chirurgico al cuore mentre correva una maratona".

Lo stato dell'EUV e ciò che deve ancora essere risolto

Gary Patton, CTO e SVP di Worldwide R&D for GlobalFoundries, ha dichiarato che 7nm sarà in produzione a rischio a Fab 8 quest'anno, e la produzione completa il prossimo anno, usando litografia a immersione e quadricromia, ma non EUV. La modellazione multipla richiede più tempo perché comporta più passaggi e possono sorgere problemi a causa dell'allineamento molto preciso necessario ad ogni passaggio, ma questi strumenti litografici sono comuni, ben compresi e pronti oggi. Il piano è di offrire successivamente una versione del processo a 7 nm utilizzando i nuovi strumenti EUV.

EUV "non è pronto oggi", ha detto Patton, citando problemi con la fonte di energia, resistendo ai materiali e alle maschere, in particolare con lo sviluppo della pellicola corretta (un film sottile che passa sopra la maschera o il reticolo).

Attualmente le macchine EUV non sono così veloci, con un ingegnere che spiega che possono produrre circa 125 wafer all'ora, rispetto a circa 275 wafer all'ora per la litografia ad immersione. Possono effettivamente risparmiare tempo, perché se il processo riduce il numero di passaggi per il multi-patterning, non solo consente di risparmiare passaggi in litografia, ma anche in incisione e preparazione. Pertanto, EUV dovrebbe effettivamente costare meno per funzionare quando è pronto, ha detto Caulfield.

Gomba ha osservato che l'idea non è solo quella di ridurre 3 o 4 strati di litografia ottica, ma anche di ridurre molti altri passaggi, poiché tra ogni passaggio di litografia sono presenti anche incisioni e altre lavorazioni sul wafer. L'obiettivo, ha affermato Gomba, è ridurre i tempi di ciclo fino a 30 giorni.

Il punto di crossover è probabilmente quadricromia, ma molto dipende dalla resa (che dovrebbe essere migliorata, poiché le fasi di litografia EUV dovrebbero avere una minore variabilità rispetto alle fasi di litografia ad immersione multipla) e i miglioramenti del tempo di ciclo. EUV dovrebbe inoltre consentire ai progettisti di chip di operare in condizioni molto meno restrittive.

Ma anche lui ha notato che ci sono ancora alcuni problemi da risolvere, in particolare per quanto riguarda la pellicola. Un altro ingegnere ha spiegato che la radiazione di 13, 5 nm utilizzata da EUV viene assorbita da quasi tutto, quindi l'interno della macchina deve essere un vuoto. Con EUV, gran parte del potere non passa attraverso il reticolo (maschera), ma invece lo riscalda. La pellicola aiuta a proteggere la maschera, ma è ancora necessario lavorare per migliorare la quantità di luce che passa attraverso la pellicola (trasmissione), nonché la longevità della pellicola. Ciò a sua volta influirà sulla produttività, nonché sulla longevità delle maschere e sul tempo di attività dell'intera macchina.

Di conseguenza, ha affermato Patton, la società inizialmente offrirà una riduzione di 7 nm con EUV, che verrà utilizzata principalmente per contatti e vie. Questo da solo può fornire un aumento della densità dal 10 al 15% senza un grande investimento di progettazione. Quando i problemi saranno risolti, ha dichiarato Patton, EUV può e sarà utilizzato in molti più livelli. (Joel Hruska di ExtremeTech , che era anche nel tour, ha maggiori dettagli qui.)

Patton ha osservato che l'ASML dovrebbe ottenere "un credito straordinario" per aver spinto EUV nella misura del possibile, e ha affermato che si tratta di una "incredibile impresa di ingegneria". Alla domanda se GlobalFoundries è davvero impegnato a fare EUV, Caulfield ha risposto che l'impresa ha fatto un investimento di $ 600 milioni, il che significa "devo farlo".

FDX e la Roadmap per il futuro Chipmaking

In una discussione ad ampio raggio su dove è diretto il chipmaking, Patton - che ha trascorso una lunga carriera lavorando sulla tecnologia dei chip per IBM - ha spiegato come il concetto sta cambiando quando arriviamo alla fine della Legge di Moore. Ha notato che nei primi anni della produzione di chip, si trattava di ridimensionamento planare del CMOS al silicio. Quindi, dal 2000 al 2010, l'attenzione si è concentrata su nuovi materiali; ora, gran parte dell'attenzione è focalizzata sui transistor 3D (i FinFET utilizzati oggi nella maggior parte dei processi all'avanguardia) e sullo stacking 3D.

Entro il 2020, ha detto, raggiungeremo i limiti delle dimensioni atomiche, quindi dovremo concentrarci su altri modi di innovare, compresi nuovi modi di progettare transistor (come i nanofili che sostituiscono i FinFET), nuovi tipi di substrati (come il Fully Tecnologia al silicio su isolante esaurita che GlobalFoundries sta sviluppando); o nuovi livelli di integrazione a livello di sistema (come packaging avanzato, fotonica al silicio e memoria incorporata).

GlobalFoundries ha due roadmap su cui sta lavorando, ha detto Patton. Il primo si basa sull'attuale tecnologia FinFET ed è progettato per dispositivi ad alte prestazioni. Per GlobalFoundries, ciò significa passare dall'attuale processo a 14 nm a una revisione del processo che sta chiamando a 12 nm, e poi entro la fine dell'anno a ciò che chiama 7 nm. Patton ha affermato che questo dovrebbe essere più adatto ai processori di applicazioni mobili e alle CPU e GPUS ad alte prestazioni, con GlobalFoundries che promette un miglioramento fino al 40% nelle prestazioni del dispositivo e una riduzione del 60% della potenza totale rispetto al processo a 14 nm. Altrettanto avvincente, dovrebbe ridurre i costi degli stampi di circa il 30 percento fino al 45 percento rispetto alla generazione precedente.

In questa parte della tabella di marcia, GlobalFoundries è su una rotta simile rispetto alle tabelle di marcia di fab concorrenti, come TSMC o Samsung.

Ma per altre applicazioni, la società si sta concentrando su ciò che chiama FDX, il suo marchio per la tecnologia del silicio su isolante completamente impoverito. Questa è una tecnologia planare, il che significa che non utilizza transistor 3D e Patton ha affermato che fornisce una soluzione più economica per processori mobili di fascia bassa e media, nonché processori per l'Internet of Things e molti settori automobilistici applicazioni. Mentre alcune delle ricerche per questo avvengono a Malta, il processo FDX è principalmente organizzato a Dresda, in Germania. Il lavoro attuale su questo processo è quello che GlobalFoundries chiama il suo nodo FDX a 22 nm; questo è previsto per passare a un processo a 12 nm l'anno prossimo.

Caulfield ha osservato che "una contrazione non è sufficiente" e che per passare al nodo successivo, GlobalFoundries deve anche offrire maggiori prestazioni e apportare valore reale ai clienti. Ha osservato che la società ha saltato 20nm e ciò che gli altri chiamano 10nm per concentrarsi su 7nm e ha detto che questo nodo offre una riduzione dei costi diretti dal 30 al 45% rispetto a 14nm, compensata in qualche modo dalla necessità di più maschere per i passaggi aggiuntivi richiesti dal multi- patterning.

Caulfield ha osservato che oltre la metà dei ricavi dell'azienda rimane su nodi di processo più vecchi, come nodi a 28 e 40 nm. Lo stabilimento di Singapore dell'azienda si concentra su processi a 40 nm e precedenti, e Dresda produce su 22 nm e oltre. Nel frattempo, tutto a Malta si concentra su processi a 14 nm e più recenti.

A 7 nm, ha detto Caulfield, la società vuole essere un "seguace veloce", mentre su FDX vuole essere un fattore "dirompente" nel mercato.

Patton ha osservato che GlobalFoundries ha mostrato un chip di test a 7 nm nel 2015, sviluppato con i partner IBM e Albany NanoTech Complex. A 5nm, la società ha parlato di nanosheet o transistor gate-around, e di un focus sulla comunicazione intra-modulo che utilizza il confezionamento di chip 2.5D e 3D su interposer al silicio per collegare diversi cubi di die e memoria ibrida. Con i suoi partner, l'anno scorso ha dimostrato un chip di prova a 5 nm.

Per anni, sono stato impressionato da quanto l'industria del chip è stata in grado di migliorare. È difficile pensare a un altro settore che si è spostato così lontano e così velocemente - e il lavoro di produttori di utensili come ASML e fab come GlobalFoundries è semplicemente incredibile. Le sfide che devono affrontare per realizzare chip ancora più veloci e design più densi sono sempre più difficili, ma la mia visita mi ha ricordato sia la complessità dei processi all'avanguardia coinvolti sia i progressi che continuiamo a vedere.

Con quale probabilità consiglieresti PCMag.com?
Le promesse e le sfide di euv a livello mondiale