Casa Lungimiranza Esplora i punti salienti della conferenza sui circuiti a stato solido (isscc)

Esplora i punti salienti della conferenza sui circuiti a stato solido (isscc)

Sommario:

Video: Legge di Ohm e Circuiti RLC - Elettronica in 5 minuti #1 (Settembre 2024)

Video: Legge di Ohm e Circuiti RLC - Elettronica in 5 minuti #1 (Settembre 2024)
Anonim

Abbiamo sentito molto parlare del rallentamento della Legge di Moore negli ultimi tempi, e mentre ciò sembra essere vero in alcuni casi, in altre parti del settore dei semiconduttori, ci sono progressi in corso. Alla Conferenza internazionale sui circuiti a stato solido (ISSCC) della scorsa settimana, le tendenze dei chip di grandi dimensioni sembravano riguardare l'implementazione di nuovi materiali, nuove tecniche e nuove idee per continuare ad aumentare la densità dei transistor e migliorare l'efficienza energetica. Certo, questa non è davvero una novità. Abbiamo visto questo riflesso nelle discussioni sulla produzione di chip logici su nuovi processi a 7 nm, sulla creazione di chip NAND 3D da 512 Gb e su una varietà di nuovi processori.

I progettisti di chip stanno prendendo in considerazione nuove strutture e materiali per i transistor, come mostrato nella diapositiva sopra di TSMC. Ci sono state anche molte discussioni su nuovi strumenti per realizzare i transistor, compresi i progressi della litografia come EUV e l'autoassemblaggio diretto, e nuovi modi di confezionare più stampi insieme.

Prima di scavare nei dettagli, per me rimane piuttosto sorprendente quanto sia arrivata l'industria dei chip e quanto siano diventati pervasivi i chip nelle nostre vite quotidiane. Ahmad Bahai, CTO di Texas Instruments, ha osservato nella sua presentazione che nel 2015 l'industria ha venduto una media di 109 chip per ogni persona sul pianeta. Il suo intervento si è concentrato su come invece di mercati dominati da una singola applicazione - prima PC, poi telefoni cellulari - l'industria ora deve essere più focalizzata sul "rendere tutto più intelligente", poiché diversi tipi di chip si fanno strada in un numero enorme di applicazioni.

Tuttavia, l'industria deve affrontare grandi sfide. Il numero di aziende che possono permettersi di costruire impianti di fabbricazione logica all'avanguardia si è ridotto da ventidue nel nodo 130nm a solo quattro aziende oggi nel nodo 16 / 14nm (Intel, Samsung, TSMC e GlobalFoundries), con un nuovo processo tecnologia che costa miliardi da sviluppare e nuovi impianti che costano ancora di più. In effetti, la scorsa settimana Intel ha dichiarato che avrebbe speso $ 7 miliardi per sviluppare 7 nm in un guscio di un fab che ha costruito alcuni anni fa in Arizona.

Tuttavia, ci sono state diverse presentazioni sui piani di varie aziende per passare a processi a 10 nm e 7 nm.

TSMC ha implementato il processo a 10 nm e il primo chip annunciato è stato Qualcomm Snapdragon 835, che uscirà a breve. TSMC potrebbe essere il più lontano a commercializzare quello che chiama un processo a 7 nm, e all'ISSCC ha descritto un chip di test SRAM a 7 nm funzionale. Questo utilizzerà il concetto di transistor FinFET ora standard, ma con alcuni circuito tecniche per farlo funzionare in modo affidabile ed efficiente con dimensioni ridotte. In particolare, TSMC afferma che produrrà la prima versione dei suoi chip a 7 nm usando la litografia ad immersione, piuttosto che attendere EUV come la maggior parte dei suoi concorrenti.

Ricordiamo che ciò che ciascuno dei principali produttori chiama 7nm varia enormemente, quindi in termini di densità, è possibile che il processo TSMC 7nm sia simile al prossimo processo 10nm di Intel.

Anche Samsung sta lavorando a 7 nm e la società ha chiarito che prevede di attendere EUV. Alla fiera, Samsung ha parlato dei vantaggi della litografia EUV e dei progressi compiuti nell'uso della tecnologia.

NAND 3D

Alcuni degli annunci più interessanti hanno riguardato il flash NAND 3D da 512 Gb e hanno mostrato quanto velocemente cresce la densità del flash NAND.

Western Digital (che ha acquisito SanDisk) ha parlato di un dispositivo flash NAND 3D da 512 Gb che ha annunciato prima dello spettacolo e ha spiegato come questo dispositivo continua ad aumentare la densità di tali chip.

Questo particolare chip utilizza 64 strati di celle di memoria e tre bit per cella per raggiungere 512 Gb su un die che misura 132 millimetri quadrati. Non è così denso come il design 3D NAND Micron / Intel, che utilizza un'architettura diversa con i circuiti periferici sotto l'array (CuA) per raggiungere 768Gb su un die da 179 millimetri quadrati, ma è un bel passo avanti. WD e Toshiba hanno affermato di essere in grado di migliorare l'affidabilità e di accelerare i tempi di lettura del 20 percento e raggiungere velocità di trasmissione di 55 Megabyte al secondo (MBps). Questo è in produzione pilota e dovrebbe essere in produzione in volume nella seconda metà del 2017.

Per non essere da meno, Samsung ha presentato il suo nuovo chip NAND 3D da 512 Gb a 64 strati, un anno dopo aver mostrato un dispositivo da 256 Gb a 48 strati. La società ha fatto un grande punto per dimostrare che, sebbene la densità areale del flash NAND 2D sia cresciuta del 26% all'anno dal 2011 al 2016, è stata in grado di aumentare la densità areale del flash NAND 3D del 50% all'anno da quando è stata introdotta tre anni fa.

Il chip Samsung da 512 Gb, che utilizza anche la tecnologia a tre bit per cella, ha una dimensione dello stampo di 128, 5 millimetri quadrati, rendendolo leggermente più denso del design WD / Toshiba, anche se non abbastanza buono come il design Micron / Intel. Samsung ha speso gran parte del suo discorso descrivendo come l'utilizzo di strati più sottili ha presentato sfide e come ha creato nuove tecniche per affrontare le sfide di affidabilità e potenza create utilizzando questi strati più sottili. Il tempo di lettura è di 60 microsecondi (letture sequenziali di 149 MB) e la velocità di scrittura è di 51 MB.

È chiaro che tutti e tre i grandi flash camp della NAND stanno facendo un buon processo, e il risultato dovrebbe essere una memoria più densa e alla fine meno costosa da tutti.

Nuove connessioni

Uno degli argomenti che ho trovato più interessante di recente è il concetto di un ponte di interconnessione multi-die (EMIB) incorporato, un'alternativa ad altre cosiddette tecnologie 2.5D che combinano più morire in un unico pacchetto di chip che è meno costoso perché non richiede un interposer di silicio o via-via-silicio passante. Allo show, Intel ne ha parlato nel descrivere un FPGA da 14 nm 1 GHz che avrà una dimensione di die di 560 mm 2 circondato da sei transceiver da die da 20 nm che sono prodotti separatamente, anche possibilmente su altre tecnologie. (Questo è presumibilmente il SoC Stratix 10). Ma è diventato più interessante alla fine della settimana, poiché Intel ha descritto come avrebbe usato questa tecnica per creare chip server Xeon a 7 nm e la terza generazione di 10 nm.

Processori presso ISSCC

ISSCC ha visto una serie di annunci sui nuovi processori, ma piuttosto che annunci sui chip, l'attenzione si è concentrata sulla tecnologia che serve a far funzionare i chip nel miglior modo possibile. Ero interessato a vedere nuovi dettagli per una serie di chip molto attesi.

Mi aspetto che i nuovi chip Ryzen che utilizzano la nuova architettura ZEN di AMD saranno presto disponibili e AMD ha fornito molti più dettagli tecnici sul design del core Zen e delle varie cache.

Si tratta di un chip FinFET a 14 nm basato su un design di base costituito da un complesso core con 4 core, una cache di livello 2 da 2 MB e 8 MB di cache di livello 3 associativa a 16 vie. L'azienda afferma la frequenza di base per un 8 core, 16-filo la versione sarà 3, 4 GHz o superiore e ha affermato che il chip offre un miglioramento maggiore del 40 percento nelle istruzioni per ciclo (IPC) rispetto al precedente progetto AMD.

Il risultato è un nuovo core che afferma AMD è più efficiente dell'attuale design a 14 nm di Intel, anche se, ovviamente, dovremo attendere i chip finali per vedere le prestazioni reali.

Come descritto in precedenza, questo sarà inizialmente disponibile nei chip desktop noti come Summit Ridge e dovrebbe uscire tra qualche settimana. Una versione server nota come Napoli è prevista per il secondo trimestre e un'APU con grafica integrata principalmente per laptop dovrebbe apparire entro la fine dell'anno.

IBM ha fornito maggiori dettagli sui chip Power9 che ha debuttato su Hot Chips, progettati per server di fascia alta e ora descritti come "ottimizzati per il cognitive computing". Si tratta di chip da 14 nm che saranno disponibili in entrambe le versioni per scalare (con 24 core in grado di gestire 4 thread simultanei) o scalare (con 12 core in grado di gestire 8 thread simultanei.) I chip supporteranno il CAPI (Coherent Accelerator Processor Interfaccia) incluso CAPI 2.0 utilizzando collegamenti PCIe Gen 4 a 16 gigabit al secondo (Gbps); e OpenCAPI 3.0, progettato per funzionare fino a 25 Gbps. Inoltre, funzionerà con NVLink 2.0 per le connessioni agli acceleratori GPU di Nvidia.

MediaTek ha fornito una panoramica del suo imminente Helio X30, un processore mobile a 10 core a 2, 8 GHz, noto per essere stato il primo ad essere prodotto su un processo a 10 nm (presumibilmente a TSMC).

Questo è interessante perché ha tre diversi complessi principali: il primo ha due core ARM Cortex-A73 a 2, 8 GHz, progettati per gestire rapidamente compiti pesanti; il secondo ha quattro core A53 da 2, 5 GHz, progettati per le attività più tipiche; e il terzo ha quattro core A35 da 2, 0 GHz, che vengono utilizzati quando il telefono è inattivo o per attività molto leggere. MediaTek afferma che il cluster A53 a bassa potenza è il 40 percento più efficiente dal punto di vista energetico rispetto al cluster A73 ad alta potenza e che il cluster A35 a bassissima potenza è il 44 percento più efficiente dal punto di vista energetico rispetto al cluster a bassa potenza.

Allo show c'erano molti articoli accademici su argomenti come i chip appositamente progettati per l'apprendimento automatico. Sono sicuro che vedremo molta più enfasi su questo andare avanti, dalle GPU ai processori passivamente paralleli progettati per gestire il calcolo a 8 bit, i chip neuromorfi e gli ASIC personalizzati. È un campo nascente, ma in questo momento sta ricevendo una straordinaria attenzione.

Ancora di più, la sfida più grande potrebbe essere passare al calcolo quantistico, che è un modo completamente diverso di fare il calcolo. Mentre stiamo assistendo a più investimenti, sembra ancora molto lontano dal diventare una tecnologia tradizionale.

Nel frattempo, tuttavia, non vediamo l'ora di ricevere nuove fantastiche chips.

Michael J. Miller è Chief Information Officer presso Ziff Brothers Investments, una società di investimento privata. Miller, che è stato caporedattore di PC Magazine dal 1991 al 2005, crea questo blog per PCMag.com per condividere le sue opinioni sui prodotti relativi al PC. Nessun consiglio di investimento è offerto in questo blog. Tutti i doveri sono esclusi. Miller lavora separatamente per una società di investimento privata che può in qualsiasi momento investire in società i cui prodotti sono discussi in questo blog e non sarà effettuata alcuna divulgazione di transazioni in titoli.

Esplora i punti salienti della conferenza sui circuiti a stato solido (isscc)