Casa Lungimiranza I wafer da 450 mm sono il futuro della produzione di chip?

I wafer da 450 mm sono il futuro della produzione di chip?

Video: From Sand to Silicon: the Making of a Chip | Intel (Settembre 2024)

Video: From Sand to Silicon: the Making of a Chip | Intel (Settembre 2024)
Anonim

Dietro tutti i nuovi gadget e tutte le fantastiche applicazioni che eseguiamo si trovano processori, memoria e altri componenti che fanno funzionare i sistemi. E dietro tutto ciò c'è la tecnologia di processo a semiconduttore: la complessa gamma di progetti, strumenti, materiali e fasi di elaborazione necessari per costruire transistor di lavoro così piccoli che 4.000 di essi potrebbero adattarsi alla larghezza di un capello umano e assemblarne miliardi in un chip non più grande dell'unghia.

Basato sul Semicon West della scorsa settimana, lo spettacolo annuale incentrato sulla tecnologia di processo rispetto ai processori o ai dispositivi degli utenti finali, sembra che l'intero settore sia pronto a spostare la nuova produzione su wafer da 450 mm, a partire dai prossimi cinque anni.

Oggi, praticamente tutti i processori e la memoria importanti sono realizzati su wafer da 300 mm, larghi circa 12 pollici. Ma i maggiori produttori di chip hanno parlato per anni del passaggio alla tecnologia dei wafer da 450 mm - wafer di circa 18 pollici di diametro - perché questi wafer più grandi possono contenere più del doppio del numero di chip, ma si spera che costerà significativamente meno del doppio della produzione di 300 mm. Fino a poco tempo fa molti dei fornitori di apparecchiature hanno trascinato i piedi perché l'ultima grande mossa da 200 mm a 300 mm ha finito per costare loro molto in ricerca e sviluppo con relativamente poco da dimostrare. Ma ora, a quanto pare, quasi tutti stanno accettando l'idea.

Alla conferenza, Paul A. Farrar, direttore generale del Global 450 Consortium, un gruppo delle principali aziende produttrici di semiconduttori tra cui GlobalFoundries, Intel, IBM, Samsung e TSMC con sede centrale presso il College of Nanoscale Science and Engineering di Albany, ha mostrato un tabella di marcia che includeva dimostrazioni di 450 mm su 14 nm nel 2013-2015 con l'apparecchiatura pronta per i produttori di chip a 10 nm e oltre nel 2015-2016.

Tutti i grandi produttori stavano discutendo di utensili da 450 mm. Nikon ha dichiarato di aver ricevuto un ordine dal Consorzio G450 per uno scanner ad immersione ArF da 450 mm 193nm da utilizzare per lo sviluppo del processo e ha anche ricevuto un ordine da un "produttore principale di dispositivi" senza nome. ASML ha dichiarato che spedirà contemporaneamente strumenti per la litografia a ultravioletti estremi (EUV) e 450 mm. Canon ha mostrato quello che ha detto che è il primo wafer da 450 mm con motivi ottici, mentre Impronte molecolari hanno mostrato i risultati per un wafer da 450 mm con motivi usando la sua litografia a nano-impronta.

Una cosa che sembra guidare questa transizione è l'aumento dei costi di produzione in nodi più piccoli. Mentre l'industria parla da anni della litografia EUV e ASML in particolare ha citato miglioramenti, questo non è ancora pronto per la produzione, poiché gli strumenti attuali non consentono la velocità e il volume richiesti dai produttori, in parte a causa di problemi con la fonte di energia. ASML afferma che ora ha 11 sistemi EUV sul campo e ha in programma una nuova generazione di strumenti con migliori fonti di energia, ma nessuno sta realizzando una produzione su larga scala con EUV perché gli strumenti non sono abbastanza veloci e affidabili.

Invece, i produttori utilizzano gli attuali strumenti a immersione a 193 nm e, a 20 nm e meno, sono costretti a utilizzare gli strumenti due volte su strati critici del wafer per ottenere la precisione di cui hanno bisogno. Questo doppio modello - e potenzialmente un modello quad - aggiunge tempo e spese alla produzione di wafer.

Come ha sottolineato il CEO di GlobalFoundries Ajit Manocha in un keynote, il costo della litografia sta già iniziando a dominare i costi totali di produzione dei wafer. Con il multi-patterning sugli scanner ad immersione, questo peggiora ancora. "Abbiamo un disperato bisogno di EUV e EUV non è ancora pronta", ha detto.

In altre aree, Manocha ha parlato della necessità di innovazione della fonderia nell'era della mobilità, discutendo di tutto, dal processo FinFET 14XM dell'azienda ad altre tecniche come FD-SOI, nanofili e semiconduttori composti III-V (essenzialmente chip che utilizzano più materiali esotici). È interessante notare che ha menzionato un possibile passaggio a FinFET III-V nel 2017 per 7 nm, anche se non sembrava un impegno specifico.

Ha detto che le maggiori sfide che l'industria deve affrontare sono quelle economiche. Al nodo 180nm, c'erano solo 15 livelli maschera; ai nodi 20nm / 14nm, ci sono più di 60 layer maschera e ogni layer offre maggiori opportunità di errore, ognuna delle quali può rendere inutilizzabile un intero wafer. "Tutto ciò, davvero, si somma", ha detto, mostrando come il costo della progettazione di chip a 130 nm (che era comune nel bordo di decennio fa, ed è ancora utilizzato da alcuni chip di bordo), era di $ 15 milioni; a 20nm, è di $ 150 milioni. Allo stesso modo, il costo della progettazione del processo è aumentato da $ 250 milioni a $ 1, 3 miliardi e il fab per produrre il chip è aumentato da $ 1, 45 miliardi a circa $ 6, 7 miliardi oggi.

Per contrastare questo, altri produttori di strumenti stanno parlando di tecniche oltre la litografia, come il chip-stacking con through-through silicon vias (TSV) progettato per produrre più strati di chip; e nuovi strumenti per la deposizione e la rimozione dei materiali. Aziende come Applied Materials, LAM Research, Tokyo Electron e KLA-Tencor stanno spingendo le loro soluzioni.

In altre notizie dello spettacolo, Karen Savala, presidente di SEMI Americas, ha parlato del "rinascimento" della produzione americana e del ruolo dell'industria dei semiconduttori, affermando che l'industria conta attualmente 245.000 posti di lavoro diretti e circa un milione di posti di lavoro totali nel Catena di approvvigionamento degli Stati Uniti.

SEMI prevede che le spese per le apparecchiature diminuiranno leggermente quest'anno, seguite da un aumento del 21% l'anno prossimo, dovuto principalmente alle continue spese di fonderia per la produzione a 20 nm, ai nuovi impianti di fabbricazione di flash NAND che aumentano e all'aggiornamento di Intel della sua fab in Irlanda.

I wafer da 450 mm sono il futuro della produzione di chip?